アプリケーションノート

Moku Cloud Compile から始める

Moku:Go および Moku:Pro の場合

概要

Moku Cloud Compile (MCC) は、ユーザーが Moku デバイス内でカスタム ロジックを実行できるようにする Liquid Instruments Moku 製品の機能です。このカスタム ロジックを作成するための基本的なワークフローは次のとおりです。

  1. MCC Web IDE にログインし、プロジェクトを作成します。
  2. HDL ロジックを作成するか、コンバータ ツールからロジックをアップロードするか、サンプルをロードします
  3. 設計を構築する
  4. デザインを Moku に展開します。

すべての Moku Cloud Compile モジュールは、のアーキテクチャを提供する単なる VHDL エンティティです。 カスタムラッパー 実在物。 CustomWrapper は信号入力ポート、信号出​​力ポート、および制御レジスタを定義しており、Liquid Instruments によって事前に定義されています。次のスニペットは、最初の 2 つの入力の合計と差によって駆動される最初の 2 つの出力を示しています。一般的な構成では、入力ポートは、ADC または他の機器からのデジタル化された信号である場合があります。出力は他の機器に接続することも、DAC に直接接続することもできます。その他の例については、を参照してください。 例のページ or サンプルリポジトリ.

入力と出力のスケールは、ハードウェア構成と信号ソースに応じて異なります。 CustomWrapper の入力と出力の使用方法の詳細については、「 MCC ドキュメント.

このようなエンティティを取得したら、それを Moku にデプロイする必要があります。このガイドではその方法を説明します。

MCC ベータ版

MCC は現在オープンベータ版です。製品が完全リリースに近づくにつれて、以下のワークフローが変更されます。このドキュメントに不一致を見つけた場合は、Moku アプリケーション (デスクトップおよび/または iPad) が最新であることを確認してから、次の連絡先までご連絡ください。 support@liquidinstruments.com.

ドキュメントを見つける

最新のドキュメントについては、Moku Cloud Compile ドキュメント サイトを参照するか、ログイン後に Web ベースの IDE 画面の左下にある [ヘルプ] ボタンをクリックしてください。

Moku Cloud Compile へのログイン

Moku Cloud Compile は、コードを記述して設計を構築する Web ベースの統合開発環境 (IDE) を使用します。でページを開きます https://compile.liquidinstruments.com.

初めてアクセスする場合は、アカウントにサインアップするか、Google アカウントですぐにログインしてください。すでにユーザー名とパスワードを作成している場合は、ログイン画面でそれらを入力します。

オープンベータ期間中のサインアップは無料です。

Moku Cloud Compile のログイン画面

最初のデザインを作成する

プロジェクトを作成する

IDE が表示する最初の画面は、「プロジェクト」画面です。右上のボタンを使用して新しいプロジェクトを作成します。

コードを書く

左側の「ファイル」タブから新しいファイルを作成し、ファイル名を入力します。コードは右側のエディタ ペインに記述できます。たとえば、上記の概要の簡単なサンプル コードを入力すると、IDE 画面は次のようになります。

建物

デザインを構築するには、特定のデバイスをターゲットにする必要があります。デバイスは、ハードウェア (Moku:Pro または Moku:Go など) とファームウェア (バージョン 568 など) の組み合わせです。さらに、適切なスロット数 (Moku:Pro の場合は 4 つ、Moku:Go の場合は 2 つ) を選択します。

ファームウェアのバージョンを確認する

デスクトップ アプリで、Moku を右クリックし、 Device Info ファームウェアのバージョンをメモします。 iPad では、デバイスを長押しするとこの情報が表示されます。

Moku アプリケーションのデバイス情報画面でファームウェアのバージョンを確認します。

デバイスを作成または更新する

[デバイス] 画面で、新しいデバイスを追加するか、既存のデバイスを編集します。ハードウェアとファームウェアのバージョンは、Moku のバージョンと正確に一致する必要があります。

ファームウェアの不一致

構築されたファームウェア バージョンとは異なるファームウェア バージョンを使用して MCC デザインを Moku に展開しようとすると、Moku がハングし、電源の入れ直しが必要になる可能性があります。

デザインを構築する

MCC プロジェクトで次のことを行います。

  1. [ターゲット] ドロップダウンで新しく作成したデバイスを選択します。
  2. プロジェクトを構築する
  3. ログ パネルを展開して進行状況を表示します。すべてのインジケーターが緑色になったら、 をクリックします。 bitstream.tar.gz Moku にデプロイするビルド アーティファクトをダウンロードするには

ビルド時間は、ハードウェア プラットフォームとプロジェクトの複雑さによって異なります。 Moku:Go の単純なプロジェクトは 3 ~ 5 分で構築されますが、Moku:Pro のデザインには最大 XNUMX 分かかる場合があります。

展開

ビルドをダウンロードしたら、次のステップはデザインを Moku にアップロードすることです。これを行う最も簡単な方法は、Moku の組み込み Web インターフェイスを使用することです。

IP アドレスを確認する

Moku デバイスの IP アドレスは、ファームウェアのバージョンと同じ画面に表示されます (上記を参照)。

Moku アプリケーションのデバイス情報画面で Moku の IP アドレスを見つけます。

Moku Web インターフェイスでアップロードする

ブラウザでその IP アドレスに移動します。たとえば、Moku デバイスの IP が 10.1.111.11 次に訪問 http://10.1.111.11.

  1. 選択 Registers 右上にあります。 Moku で現在実行されている内容によっては、画面が異なる場合があります。
  2. [ビットストリームのアップロード] ボックスに MCC デザイン ファイルをアップロードします。

IPv6

この手順では、ブラウザで Moku のコントロール インターフェイスにアクセスする必要があることに注意してください。最新のブラウザの制限により、たとえば USB 経由で Moku に接続している場合など、IPv6「リンク ローカル」アドレスを使用している場合、これは不可能です。詳細と考えられる解決策については、を参照してください。 APIドキュメント 

 

マルチインストゥルメントモードでの導入

デザインが Moku 上にあると、マルチインストゥルメント モード (MiM) のスロットに「Cloud Compile」インストゥルメントを配置するたびに、デザインがデプロイされるようになります。 MiM 設定画面を使用して、必要になる可能性のある他の機器と一緒にデザインを展開します。この画面を使用して、MCC デザインと他の機器および/または Moku の物理 BNC コネクタ間のルーティングを設定することもできます。

  1. 少なくとも 1 つの Cloud Compile インストゥルメントを MiM 構成に追加します
  2. 設定を適用してデザインの実行を開始します

MiM 設定が適用されると、デプロイされた Cloud Compile インストゥルメントをクリックしてデザインの制御レジスタを編集できます。詳細については、「」を参照してください。 制御レジスタ.

Moku Cloud Compile デザインは、システム ビルダーをマルチインストゥルメント モードで使用してデプロイされます。

他の楽器と組み合わせる

Moku Cloud Compile はマルチインストゥルメント モードで展開されるため、すべての Moku インストゥルメントにアクセスして、MCC デザインを刺激、表示、特徴付けることができます。たとえば、上で使用した「和と差」の例は、波形発生器とオシロスコープを組み合わせることによってテストできます。左側の構成には、波形ジェネレータ信号と DAC にルーティングされる和差信号も含まれています。右側のオシロスコープ画像は、1Vpp の正弦波と 100mVpp のランプ波の和と差の結果を示しています。

入力信号の和と差を計算する MCC の MiM 構成 (左)。信号は波形発生器から生成され、結果はオシロスコープに表示されます (右)

次のステップ

Moku Cloud Compile はマルチ計測器モードから展開されるため、波形ジェネレータ、オシロスコープ、スペクトラム アナライザなどの他の計測器と組み合わせて、設計を刺激し特徴付けることができます。マルチ楽器モードの詳細については、次のサイトを参照してください。 液体機器のウェブサイト。 Moku Cloud Compile の詳細ドキュメントは、次の Web サイトから入手できます。 ドキュメントサイト、およびさらに多くの例 (以下に示す「平方根」の例を含む) は、完全なソースとともに次のリンクにあります。 サンプルリポジトリ.

Moku Cloud Compile デザインと一緒に導入されたオシロスコープのスクリーンショット。この設計は、入力信号が青色で、この値の平方根がリアルタイムで計算されて出力され、赤色で表示される「平方根」の例から取られています。