Moku Cloud Compile

Develop and deploy custom functions and features in minutes, not months

Code, compile, and deploy directly from your browser. Moku Cloud Compile makes FPGA programming simple with the ability to implement custom functionality to Moku:Go, Moku:Lab, and Moku:Pro.

Download the ebook

We’re here to help

If you’d like to view the source codes to learn more, check out our resource library. Download the code, then compile here. We can also provide the bitstreams to help you quickly get up and running.

Explore the source codes    Get the bitstreams

Advanced capabilities, simple workflow

It’s easy to get started

Simply write your code using a web browser, compile it in the cloud, and deploy the bitstream to one or more Moku devices in the app. You can write your code in HDL, start with one of our examples, or work with compatible tools like Simulink or MATLAB and HDL Coder.

To begin using MathWorks HDL Coder, check out our app note.

Read the app note
MCC- Demo

Preconfigured to be hardware-deployable

Since all inputs, outputs, and interfaces are preconfigured, it’s easy to interact with real-world signals. This means no more working with development boards, writing drivers for ADCs, or configuring complex interfaces. Combine with Multi-instrument Mode to deploy alongside other instruments to stimulate, analyze, or augment your custom design.

Browse our resource library to learn how to simplify setup and deployment.

Learn more
MCC- IDE

Compatible with industry-standard HDL

Accelerate your projects with an unprecedented level of customization using industry-standard VHDL. You can deploy bitstreams directly to Moku:Pro, Moku:Lab, or Moku:Go and have your custom feature running in minutes.

Schedule a call with us so we can help you get started.

Request a demo

You’re in good company

No software installations required

“From opening the box, I just pulled the code up and it only took me 15 minutes to implement this. So I was very happy with this — it was amazing.”

-Noah Kurinsky, SLAC National Accelerator Laboratory

Greater flexibility in test than ever before

“Previously this would have taken us months to years to accommodate all of our unique requirements, but now with Moku Cloud Compile, it’s weeks to days.”

-Global aerospace company

Design, deploy, and test on one device

“We love the Moku platform because we can reduce the system footprint and the cost while still meeting our performance requirements.”

-Defense contractor

FAQ

  • How does Moku Cloud Compile work?

    Moku Cloud Compile allows you to deploy custom DSP directly onto the Moku:Go, Moku:Lab, or Moku:Pro FPGA in Multi-instrument Mode. Write code using a web browser and compile it in the cloud; download and deploy the bitstream to your Moku device through the app.

    We offer a range of resources to help you get started with Moku Cloud Compile. Explore the resources here.

  • What is HDL?

    HDL, or hardware description language, refers to a family of programming languages used to describe digital logic circuits and program FPGAs. The most commonly used hardware description languages are VHDL and Verilog. We currently support VHDL, with Verilog support coming soon.

  • How long does it take to compile?

    Although the total compilation time depends on the number of users engaged in the tool simultaneously, compilation can complete in as quickly as 15 minutes.

  • What hardware platforms is Moku Cloud Compile compatible with?

    Currently Moku Cloud Compile is available on Moku:Pro, Moku:Lab, and Moku:Go running Multi-instrument Mode.

Get started with Moku Cloud Compile

Start coding